Verilog HDL的发展历史

Last Updated: 2023-09-06 03:11:03 Wednesday

-- TOC --

从Verilog HDL的起源和发展历史来看,这门工具的生命力还会一直保持下去。

了解历史,思考未来,前事不忘,后事不慌...

Verilog HDL的发展历史:

  1. 1983年,GDA(Gateway Design Automation)公司的Philip Moorby首创Verilog硬件设计语言。Moorby后来称为Verilog HDL-XL的主要设计者和Cadence公司的第一合伙人。
  2. 1984年,Moorby设计出第一个用于Verilog仿真的EDA工具。
  3. 1986年,Moorby提出用于快速门级仿真的XL算法。随着Verilog-XL的成功,Verilog得到快速发展和应用。
  4. 1987年,Synonsys公司开始把Verilog作为综合(synthesis)工具的输入。
  5. 1989年,Cadence公式收购GDA公司,Verilog称为Cadence公司的私有财产。
  6. 1990年,Cadence公司公开发布Verilog。随后成立的OVI(Open Verilog HDL International)负责Verilog的发展,以及指定标准。
  7. 1993年,几乎所有的ASIC厂商都开始支持Verilog,并且认为Verilog-XL是最好的仿真器。同时,OVI退出Verilog-2.0规范,并把它提交给IEEE。
  8. 1995年,IEEE发布Verilog的标准IEEE1364-1995。
  9. 2001年,IEEE发布Verilog的标准IEEE1364-2001,增加了一些新特性,但是验证能力和建模能力依然较弱。
  10. 2005年,IEEE发布Verilog的标准IEEE1364-2005,只是对Verilog-2001进行了一些小修订。
  11. 2005年,IEEE发布SystemVerilog的标准IEEE1800-2005,极大地提高了验证能力和建模能力。
  12. 2009年,IEEE发布了SystemVerilog的标准IEEE1800-2009,它把SystemVerilog和Verilog合并到一个标准中。
  13. 2012年,IEEE发布SystemVerilog的标准IEEE1800-2012。

...

Verilog是SystemVerilog的子集,因此学习Verilog并不会有什么过时的问题。

Verilog借鉴了许多C语言语法!

本文链接:https://cs.pynote.net/hd/verilog/202110065/

-- EOF --

-- MORE --